News:

Printed Amstrad Addict magazine announced, check it out here!

Main Menu
avatar_robcfg

Gate array decapped!

Started by robcfg, 16:54, 12 April 16

Previous topic - Next topic

0 Members and 3 Guests are viewing this topic.

Gryzor

Yes, but I guess that even with a program doing the grunt work you'd still need to double-check manually... not exactly easy :D

||C|-|E||

It would actually be a titanic task for something like the ASIC in the Plus, even with the help of a proper tool  :-X . All the others would be very difficult as well...  :-X

dragon

#52
Quote from: ||C|-|E|| on 15:10, 18 April 16
It would actually be a titanic task for something like the ASIC in the Plus, even with the help of a proper tool  :-X . All the others would be very difficult as well...  :-X

Not necesarilly. The amstrad ciruit reencarnarion is not made from 0, is acumulative, it have changes because change in tecnology, but apart from that, if you pick the 40007 circuit, the 48000 is the same but adapted to a diferent tecnology. I supposed the 40010 was simply the same circuit rearranged to avoid the heat problems in 40008 using the same  gate array with more cells.

The preasic  probably have these circuit of 40010 embbed+ the other chips emulated connected with the outs pins of the old desing of the gate array.

The asic plus probably have  a copy of the schematic of the preasic inside but with the new features add in it.

Thats the resason in amstrad not made a 16bit computer. 16bit need made all from 0 and two years of work. but the plus, is the same with add logic.So mostly of the job is done in a few monts..


I thinks all time the grey bars are the the poly jeje, i read it at reverse .

Munchausen

Yeah, this has been done before and is possible. See for example the acorn tube ULA for which a replacement has been produced by image processing and some manual intervention on scans of the Ferranti ULA design: Tube ULA Reverse Engineering - stardot.org.uk

The Amstrad ICs are harder because you are working from a photo of the actual chip, but the principle is the same: The software tries to match the connections for each gate, but some manual intervention will be required. Those guys actually developed their own software for the job...

||C|-|E||

Quote from: dragon on 15:43, 18 April 16
Not necesarilly. The amstrad ciruit reencarnarion is not made from 0, is acumulative, it have changes because change in tecnology, but apart from that, if you pick the 40007 circuit, the 48000 is the same but adapted to a diferent tecnology. I supposed the 40010 was simply the same circuit rearranged to avoid the heat problems in 40008 using the same  gate array with more cells.

The preasic  probably have these circuit of 40010 embbed+ the other chips emulated connected with the outs pins of the old desing of the gate array.

The asic plus probably have  a copy of the schematic of the preasic inside but with the new features add in it.

Thats the resason in amstrad not made a 16bit computer. 16bit need made all from 0 and two years of work. but the plus, is the same with add logic.So mostly of the job is done in a few monts..


I thinks all time the grey bars are the the poly jeje, i read it at reverse .

If it is additive and not a redesign from the scratch then it would be actually very doable! With a lot of work, but very doable :). That would be actually great. Do not ask me why, but I always assumed that the ASIC from the Plus was a design not based in accumulative approach. I also thought that this was the main cause of the "bugs" it has. Great to know that it is not the case  :D

dragon

#55
Quote from: Munchausen on 16:17, 18 April 16
Yeah, this has been done before and is possible. See for example the acorn tube ULA for which a replacement has been produced by image processing and some manual intervention on scans of the Ferranti ULA design: Tube ULA Reverse Engineering - stardot.org.uk

The Amstrad ICs are harder because you are working from a photo of the actual chip, but the principle is the same: The software tries to match the connections for each gate, but some manual intervention will be required. Those guys actually developed their own software for the job...

I remember read steve gane(the asic harware developer), tell proably it have the tapes in sun of the asic in some place.But tell he drop it jeje. probalby he simply uses the lsi logic software to do that.

Anyway, what ula model the arcon bbc have?.Can this software works with the 40007?(5000r ula series)

Quote from: ||C|-|E|| on 16:33, 18 April 16
If it is additive and not a redesign from the scratch then it would be actually very doable! With a lot of work, but very doable :) . That would be actually great. Do not ask me why, but I always assumed that the ASIC from the Plus was a design not based in accumulative approach. I also thought that this was the main cause of the "bugs" it has. Great to know that it is not the case  :D

If i remember correctly. the bugs are in the new features, but not in the old cpc part :) . And i remember read about the preasic in the google groups the preasic principally is  made to subsitute the obsolete old ram access to the old ram,so  amstrad can  use the new ram chips in preasic and later in the asic.

A little advantage we know a little part of the circuit.(the acid  patent in the plus part).

gerald

Quote from: ||C|-|E|| on 16:33, 18 April 16
If it is additive and not a redesign from the scratch then it would be actually very doable!
Unlikely to be additive, even for the 40008 to 40010. 5BTW, looking at LSI reference, the 40008 uses a bigger array)
The usual design is schematic entry, then the manufacturer tool suite place it where it fits on the gate array.

Toying with degate[nb]Sharp eyes will spot two different implementation of the inverter[/nb] :
[attach=2]


dragon

#57
And why do you think the 40008 have bigger array gerald?. The pdf say it have  1332 blocks(3130), and the other 1722(3170).

Is bad the numeration in the cpcwikiparts or so?.

Amstrad part numbers - CPCWiki

Or you say simply is bigger?.(And i trasltate bad for english) :).

gerald

Quote from: dragon on 17:13, 18 April 16
And why do you think the 40008 have bigger array gerald?. The pdf say it have  1332 blocks(3130), and the other 1722(3170).
Simply because the number of cell in the 40010 picture matches the LL3130 (18*74=1332)  ;)

dragon

#59
Oh men, this is really strange, i open the service manual of 664 and 6128 in the wiki.

In the ic says "amstrad 40010 hsg3130 or 3170".

All the info in the wiki was wrong. Exist two version of the 40010?

The pin compatible are the 40007 and the 40008 no?, not the 40008 and the 40010.

If it the 40007 and the 40008, are the two ferranti ics.And 40010 are provide with the same circuit in the two distints internal array chips depending of the disponibility?.

robcfg

40008 and 40010 are pin compatible, the 40007 is not

gerald

Quote from: dragon on 19:16, 18 April 16
Oh men, this is really strange, i open the service manual of 664 and 6128 in the wiki.

In the ic says "amstrad 40010 hsg3130 or 3170".

All the info in the wiki was wrong. Exist two version of the 40010?

The pin compatible are the 40007 and the 40008 no?, not the 40008 and the 40010.

If it the 40007 and the 40008, are the two ferranti ics.And 40010 are provide with the same circuit in the two distints internal array chips depending of the disponibility?.
Quote from: robcfg on 19:25, 18 April 16
40008 and 40010 are pin compatible, the 40007 is not
If these two picture are not fake, 40008 is 40007 compatible

http://www.cpcwiki.eu/imgs/6/69/CPC464_PCB_Top_%28Z70200_MC0002D%29.jpg
http://www.cpcwiki.eu/imgs/9/92/CPC464_PCB_Top_%28Z70200_MC0002D%29_GA40008.jpg

And then we may think of 2 versions of 40010  :D


dragon

#62
Yes i think maybe we are wrong all time, comparing the font letter in the pictures of the 40007 and 40008.Are the same, and the two serial numbers begin with F, and add in the service manual in the 40007 motherboard draw say ferrat ic.


But i think this can be a problem, i remember reading in the spectrum ula book page took several ics to decapped it. It appears the ferranti ics are very sensible. And in the other hand  i remember robcfg telling 40007 was broken in the process....

So i think  maybe the 40008 can broke easily as the 40007 in the process.

Oh, you have compared the details in 40007 gerald. Maybe the have different rervisions to ?.

In the first picture a 464 have 40007-4 Fxxxx
But in the second picture the cpc have 40007-4x fxxxx

File:CPC464 PCB Top (Z70100) GA40007-4.jpg - CPCWiki
http://www.cpcwiki.eu/imgs/0/0d/CPC464_Z70100_MC0001A_PCB_Top.jpg

Or is part of the serial number?.

gerald

Quote from: dragon on 19:59, 18 April 16
Oh, you have compared the details in 40007 gerald. Maybe the have different rervisions to ?.

In the first picture a 464 have 40007-4 Fxxxx
But in the second picture the cpc have 40007-4x fxxxx

File:CPC464 PCB Top (Z70100) GA40007-4.jpg - CPCWiki
http://www.cpcwiki.eu/imgs/0/0d/CPC464_Z70100_MC0001A_PCB_Top.jpg

That's the date code
8428 mean year 1984 week 28
8436 mean year 1984 week 36

robcfg

Quote from: gerald on 19:33, 18 April 16
If these two picture are not fake, 40008 is 40007 compatible

http://www.cpcwiki.eu/imgs/6/69/CPC464_PCB_Top_%28Z70200_MC0002D%29.jpg
http://www.cpcwiki.eu/imgs/9/92/CPC464_PCB_Top_%28Z70200_MC0002D%29_GA40008.jpg

And then we may think of 2 versions of 40010  :D


Damn! You're right! I've taken a look at the other boards, and 40007 and 40008 GAs go in the same socket and only 40010 goes in the other one.


Also, I've noticed an error in the Grimware's Gate Array page, which says that the CPC664 had a 40008 GA. If you take a look at our pictures, the 664 come with a 40010 GA, and only the later 464 and earlier 6128 have slots for the different GA models.


It seems that the pin compatible ones are indeed 40007 and 40008.


And we thought we knew a lot about the CPC...  :D

dragon

#65
I have a theory how we can distinguis the 40010-a and 40010-b

Looking to the die, the internal number are different fron the code encapsulated, except by the "36 AA" is in the lsi copyright part.

I revised the picures of all boards, it appears all cpc have 36 AA  and other have "37 AA"

The other numbers vary from board in board, but these part have only two types and are continuosly numbers as the numbers in the datasheet.

I was think all my life the 664 has epecial and it have the 40008 jaja but hey it have a 37aa code.

In the other hand i think only 664 and first 6128(464 i not sure).mount the 3170. Maybe they discover later the circuit enter in a low cost gate array 3130.And swap to it or so.

PD:seriusly, i have found the gate array original schematic but used in the pc 1512 in a museum! :)

Objects

This confirmed these corner are part number and not serial number.

gerald

#66
Quote from: dragon on 22:21, 18 April 16
I have a theory how we can distinguis the 40010-a and 40010-b

Looking to the die, the internal number are different fron the code encapsulated, except by the "36 AA" is in the lsi copyright part.

I revised the picures of all boards, it appears all cpc have 36 AA  and other have "37 AA"

The other numbers vary from board in board, but these part have only two types and are continuosly numbers as the numbers in the datasheet.

I was think all my life the 664 has epecial and it have the 40008 jaja but hey it have a 37aa code.

In the other hand i think only 664 and first 6128(464 i not sure).mount the 3170. Maybe they discover later the circuit enter in a low cost gate array 3130.And swap to it or so.
Good find.
So we can externally identify the two 40010
- one using LL3170 and marked with 37AA ( appeared on 664 ?)
- one using LL3130 and marked with 36AA ( most of others ?)

Now we need to fix documentation referring the 40008 as pin compatible with the 40010s (@grim can you update the grimware ?)

dragon

#67
Maybe is a good idea made the grimware rasterization test to one gate array aa37?.

Maybe we can  view a suprise or not :) . but i not have these gate array.

Also cpcmaniaco i remember have 664 schneiders maybe robcfg can take a look at it to confirm they have aa37. And more 464 and 6128 1stgen.

Its only question of find it in a 464, and compare cpc serial dates with the 664. If not exist in the 464, it came out in 664, if 464 date are early that 664, then go out in 464.

well in the wiki the first 464 with double slot is z70200 mc0001a: File:Z70200 MC0001A TOP.JPG - CPCWiki

And the first 664 is mc0005a z70205, so 464 was prepared for the 400010 early 664 came out.But thats not mean it mount aa37, because amstrad can put 40008 first to eliminate stock existences. And when all 40007/40008 are put, then maybe put the aa36.

http://www.cpcwiki.eu/imgs/f/f2/CPC664_PCB_Top.jpg

One interesting think apart. The ic 125. This ic was ferranti in 464 and then change in the cpc doble slot gate array(to thosiba lsi partner), that proably means amstrad broke with ferranti and they not buy  it more chip, and these 40007 are rest of stock. And at the same time the z80 change to sgs. It is connected to xtal part of the 16mhz clock logic generated by the quarz to  the gate array.

||C|-|E||

I see that is still possible to buy the 40489 ASIC as spare part (the ASIC from the Plus). It is expensive, around 75 euros per unit, but maybe we could try to decap this guy as well. How do you feel about that? We would probably need to buy two or three but it could be worth it. I would happily contribute to buy them.

Semiconductor: 40489 - AMSTRAD-IC GATE ARRAY - UK (GBP)

robcfg

We already sent one asic to Sean. We just need to wait him to decap and make the pictures out of it :D

||C|-|E||

Jesus, I forgot!  :picard: With the ASICs from the normal CPC I forgot the other one!  :D

MaV

If he has problems decapping the one ASIC and we need another one, I'll be happy to contribute as well!
Black Mesa Transit Announcement System:
"Work safe, work smart. Your future depends on it."

robcfg

Thank you very much!


He described the asic as being... toasty, but hopes we can get good pictures out of it.

||C|-|E||

Let´s see, but yes, if more than one is needed here we are!  :D

TotO

It is less expansive to buy a brand new GX4000 than an ASIC chip only...  :picard:
Sellers practice excessive prices... (they got them for around 10$ each)
Better to not buy to them, else the price will continue to grow!
"You make one mistake in your life and the internet will never let you live it down" (Keith Goodyer)

Powered by SMFPacks Menu Editor Mod