Changes

Jump to: navigation, search

Default I/O Port Summary

47 bytes removed, 14 May
/* I/O Port Mapping */
|}
0 means the bit must be set to 0 for the hardware device to respond, 1 means the bit must be set to 1 for the hardware device to respond, - means this bit is ignored. r1 and r0 mean a bit used to define a register
== I/O Ports ==
5,113
edits