Changes

Jump to: navigation, search

FPGAmstrad

447 bytes removed, 11:17, 17 June 2015
/* Components */
PPI chip is [http://www.cpcwiki.eu/index.php/VHDL_implementation_of_the_8255_PIO 8255 CPCWiki] one, patched.
PWM chip is [http://www.fpga4fun.com/PWM_DAC.html PWM_DAC fpga4fun] one. Warning, using PWM as it can result into a low quality sound, patched in fact if sound is stopped at 50% of voltage, it generates continious order to get high-sound or ultrasound during this "silent" moment quality (cause it can't really make 2.5v with only my PWM has two output 0v and 5vclocks in entry), so you can hear whistle. A solution is to '''add another clock entry''', one clock is about executing PWM algorithm and another one is about pushing input digital sound data, that result a higher quality sound, that is what I've done in MiST-board version of FPGAmstrad.
In NEXYS2's version of FPAmstrad, PS/2 keyboard had a strange internal hardware timeout, it can be shown using game "Macadam" (pinball), I solved this problem since NEXYS4's version of FPGAmstrad (and then also in MiST version). Left shift is the true shift, right shift is mapped into another key, so in Macadam you can redifine keys... and play flipper using left and right shift keys :)
1,200
edits