Changes

PWM

28 bytes added, 12:44, 5 March 2017
Using a quicker clock, you can generate analog signal, like sound music.
'''pwm.vhd'''
library IEEE;
use IEEE.STD_LOGIC_1164.all;
This problem is solved here : this is an original homemade PWM component enabling Arkanoid not producing noises instead of silents, don't ask me how I solved it two years ago but it does :
'''pwm.vhd'''
-- {@{@{@{@{@{@
-- {@{@{@{@{@{@{@{@ This code is covered by CoreAmstrad synthesis r004
1,200
edits